Gluten-Free All Day Lemon Cake With a Choice of 2 Toppings

Gluten-Free All Day Lemon Cake With a Choice of 2 Toppings might be just the side dish you are searching for. Watching your figure? This gluten free and lacto ovo vegetarian recipe has 549 calories, 5g of protein, and 22g of fat per serving. This recipe serves 6. For 84 cents per serving, this recipe covers 7% of your daily requirements of vitamins and minerals. 28 people have made this recipe and would make it again. Head to the store and pick up granulated sugar, simple syrup, icing sugar, and a few other things to make it today. From preparation to the plate, this recipe takes around 50 minutes. It is brought to you by Serious Eats. Overall, this recipe earns a not so excellent spoonacular score of 22%. If you like this recipe, take a look at these similar recipes: Gluten Free Banana Muffins (Or Fruit of Your Choice), Gluten-Free Pizza with Spiralized Toppings Three Ways, and Personal Sized Baked Oatmeal with Individual Toppings: Gluten Free & Diabetic Friendly.

Servings: 6

 

Ingredients:

2 teaspoons baking powder

1 cup buttermilk

2 large eggs

3/4 cup granulated sugar

Option 2: Powdered Sugar Icing

2 tablespoons fresh juice from 1 lemon

1 tablespoon grated lemon zest from 2 to 3 lemons

2 tablespoons zest and 6 tablespoons fresh juice from about 4 to 6 lemons

1 1/3 cups powdered sugar, sifted

1 1/4 cups (5 ounces) brown or white rice flour

Option 1: Simple Syrup Glaze

1/2 teaspoon table salt

1/4 cup (1 ounce) tapioca starch

1/2 cup vegetable oil

Equipment:

baking pan

oven

measuring cup

mixing bowl

whisk

cake form

sauce pan

bowl

Cooking instruction summary:

Procedures 1 Adjust oven rack to middle position. Preheat oven to 350°F. Spray an 8-inch square baking pan with nonstick cooking spray. 2 In large mixing bowl, whisk together rice flour, tapioca starch, baking powder, and salt. In a measuring cup or a small bowl, whisk together buttermilk and lemon zest. Pour buttermilk mixture over dry ingredients. Whisk in vegetable oil and eggs until smooth. 3 Pour batter into prepared cake pan. Bake until a cake tester inserted into center of cake comes out clean, about 30 minutes. 4 Option 1: Simple Syrup Glaze Meanwhile, if making the Simple-Syrup Glaze, combine granulated sugar, lemon zest, and lemon juice in a small saucepan. Bring to a boil over medium-high heat, stirring gently until sugar dissolves. Reduce heat to low and cook syrup until it thickens slightly, about 5 minutes. Remove from heat and set aside. 5 While cake is still warm, poke it all over with a fork. Brush top of cake with syrup and allow to absorb fully, about 5 minutes. Repeat until all syrup is absorbed. 6 Option 2: Powdered Sugar Glaze If making Powdered Sugar Glaze, allow cake to cool completely. In small bowl, combine powdered sugar and lemon juice. Stir with a fork until smooth. Icing should be thick but spreadable; if the icing is too thick, add a little more lemon juice. Spread icing on cake and allow to set for 15 minutes before serving. 7 Store cake, covered, on the counter for up to 3 days.

 

Step by step:


1. Adjust oven rack to middle position. Preheat oven to 350°F. Spray an 8-inch square baking pan with nonstick cooking spray.

2. In large mixing bowl, whisk together rice flour, tapioca starch, baking powder, and salt. In a measuring cup or a small bowl, whisk together buttermilk and lemon zest.

3. Pour buttermilk mixture over dry ingredients.

4. Whisk in vegetable oil and eggs until smooth.

5. Pour batter into prepared cake pan.

6. Bake until a cake tester inserted into center of cake comes out clean, about 30 minutes.

7. Option 1: Simple Syrup Glaze Meanwhile, if making the Simple-Syrup Glaze, combine granulated sugar, lemon zest, and lemon juice in a small saucepan. Bring to a boil over medium-high heat, stirring gently until sugar dissolves. Reduce heat to low and cook syrup until it thickens slightly, about 5 minutes.

8. Remove from heat and set aside.

9. While cake is still warm, poke it all over with a fork.

10. Brush top of cake with syrup and allow to absorb fully, about 5 minutes. Repeat until all syrup is absorbed.

11. Option 2: Powdered Sugar Glaze If making Powdered Sugar Glaze, allow cake to cool completely. In small bowl, combine powdered sugar and lemon juice. Stir with a fork until smooth. Icing should be thick but spreadable; if the icing is too thick, add a little more lemon juice.

12. Spread icing on cake and allow to set for 15 minutes before serving.

13. Store cake, covered, on the counter for up to 3 days.


Nutrition Information:

Quickview
545k Calories
4g Protein
21g Total Fat
86g Carbs
1% Health Score
Limit These
Calories
545k
27%

Fat
21g
33%

  Saturated Fat
16g
101%

Carbohydrates
86g
29%

  Sugar
61g
69%

Cholesterol
66mg
22%

Sodium
262mg
11%

Get Enough Of These
Protein
4g
10%

Phosphorus
183mg
18%

Selenium
10µg
15%

Manganese
0.3mg
15%

Calcium
120mg
12%

Vitamin B2
0.17mg
10%

Vitamin B6
0.15mg
8%

Vitamin C
5mg
7%

Potassium
242mg
7%

Vitamin E
0.94mg
6%

Vitamin B5
0.62mg
6%

Vitamin D
0.85µg
6%

Vitamin B12
0.33µg
6%

Vitamin K
4µg
4%

Vitamin B1
0.06mg
4%

Zinc
0.58mg
4%

Magnesium
15mg
4%

Fiber
0.93g
4%

Iron
0.65mg
4%

Vitamin B3
0.68mg
3%

Vitamin A
157IU
3%

Copper
0.06mg
3%

Folate
12µg
3%

covered percent of daily need
Widget by spoonacular.com

 

Suggested for you

Instant Pot Chicken Taco Soup
Power it Up Blueberry Vanilla Baked Oatmeal
Sausage and Kale Pasta Bake
Peanut Butter & Fleur de Sel Brownies and My 33 Before 33
Tropical Florentines
Holiday Gifting – Cranberry Orange Butter
Brown Butter Confetti Cookies for my “Blog-aversary”
Vanilla Torte with Raspberry Filling and Chocolate Frosting
Mashed Sweet Potatoes, Pork Chop with Cider Gravy, Sauteed Apples and Onions
No Bake Peanut Butter Bars
Food Trivia

Gorgonzola cheese dates back to the year 879!

Food Joke

Seriously, when the crooked hamburger took it on the 1am, where did it go? Heidelburg-er, Germany!

Popular Recipes
roasted jalapeno hummus

The Wicked Noodle

Macadamia-Almond Christmas Cookies

Foodnetwork

Chicken and Shrimp Jambalaya

Jo Cooks

Sweet Apple, Chicken & Coconut Saute

Nutritionist in the Kitchen

Champurrado (Mexican Hot Chocolate)

Muy Bueno Cookbook